What is the translation of " VERILOG " in English?

Examples of using Verilog in Bulgarian and their translations into English

{-}
  • Colloquial category close
  • Official category close
  • Medicine category close
  • Ecclesiastic category close
  • Ecclesiastic category close
  • Computer category close
Моделът на DAC е определен в Verilog AMS.
The DAC model is defined in Verilog AMS.
SystemVerilog е важно разширяване на установената IEEE 1364 Verilog език.
SystemVerilog is a major extension of the established IEEE 1364 Verilog language.
HDLmaker е инструмент за генериране на Verilog дизайн.
HDLmaker is a tool for generating Verilog designs.
Вероятно най-известните цифрови симулатори са тези, базирани на Verilog и VHDL.
Probably the best known digital simulators are those based on Verilog and VHDL.
Verilator е най-бързият свободен Verilog HDL симулатор.
Verilator is the fastest free Verilog HDL simulator.
Подобрени и ускорени VHDL и Verilog симулация 10x пъти по-бързо, отколкото в v9.
Enhanced and accelerated VHDL and Verilog simulation 10x times faster than in v9.
TINA включва и мощен цифров симулиращ Verilog двигател.
TINA also includes a powerful digital Verilog simulation engine.
Следната верига сравнява същата пълна верига на суматора, използвайки VHDL и Verilog.
The following circuit compares the same full adder circuit using VHDL and Verilog.
Помага на IC дизайнерите/FPGA дизайнерите да разработват Verilog/ VHDL код на Eclipse.
Helps digital IC designers/FPGA designers develop Verilog/ VHDL code on Eclipse.
Разбира се, цифрови компоненти,включително VHDL и Verilog компоненти могат да бъдат добавени към схеми.
Of course digital components,including VHDL and Verilog components can also be added to circuits.
SimShop е софтуер, който прави използвате командния ред основава Verilog симулации просто.
SimShop is a software that makes running command-line based Verilog simulations simple.
Той съчетава синтезируем Verilog, плюс някои PSL, SystemVerilog и Synthesis поддръжки в C++ или SystemC прграмен код.
It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
Gtkwave е инструмент за анализи, използван за отстраняване на грешки в Verilog или VHDL симулационни модели.
Gtkwave is an analysis tool used to perform debugging on Verilog or VHDL simulation models.
Можете да намерите повече информация за HDL верига симулация в TINA на цифрова HDL симулация(VHDL и Verilog) на.
You can find more information on HDL circuit simulation in TINA at digital HDL simulation(VHDL and Verilog) at.
В допълнение към Spice компонентите TINA могат също да включват Verilog A и Verilog AMS компоненти.
In addition to Spice components TINA may also include Verilog A and Verilog AMS components.
Лабораторията разполага с апаратни и програмни модули за проектиране исимулация на базата на технологиите FPGA и Verilog.
The laboratory has hardware and software modules for design andsimulation based on FPGA and Verilog technologies.
Предимството на Verilog в сравнение с VHDL, че е по-лесно да се научат и разберат, но има повече функции във VHDL.
The advantage of Verilog compared to VHDL that it is easier to learn and understand, however there are more features in VHDL.
Ние просто искаме да покажем, че в първата част, показана по-горе, модулът DA Verilog преобразува серийния сигнал в аналогов сигнал(VOUTA).
We just want to show that in the first part shown above, the DA Verilog module converts the serial signal into an analog signal(VOUTA).
Icarus Verilog или iverilog е Verilog компилатор, който генерира различни инженерингови формати, включително симулиране.
Icarus Verilog or iverilog is a Verilog compiler that generates a variety of engineering formats, including simulation.
Новият за Fedora 12 Dinotrace е инструмент за преглеждане на вълнови форми, който разбира Verilog Value Change Dumps, ASCII и други формати за проследяване.
New to Fedora 12,Dinotrace is a waveform viewer which understands Verilog Value Change Dumps, ASCII, and other trace formats.
В TINA можете да видите кода на Verilog AMS на модела на DAC, ако щракнете двукратно върху DAC макроса и натиснете бутона Enter Macro.
In TINA you can see the Verilog AMS code of the DAC model if you double-click the DAC macro and press the Enter Macro button.
Интересното е, че изпитвателният стенд от лявата страна е написан на VHDL, което е пример за смесване на различни HDL, нотук ще се концентрираме върху макроса на Verilog AMS отдясно.
Interestingly, test bench on the left side is written in VHDL which is an example of mixing different HDLs buthere we will concentrate on the Verilog AMS macro on the right.
През 1986 г. Verilog- днес популярен език за проектиране на високо ниво, е представен за първи път от Gateway Design Automation като език за описание на хардуер.
In 1986, Verilog, another popular high-level design language, was first introduced as a hardware description language by Gateway Design Automation.
Можете да кликнете два пъти върху макросите VHDL или Verilog и да натиснете Enter Macro, за да видите пълните подробности и редактирайте кода, ако желаете.
You can double click the VHDL or the Verilog macros and press Enter Macro to see the full details and edit the code if you wish.
Както отбелязахме по-рано,Verilog-AMS е производно на чисто цифровия Verilog, разширен с чисто аналоговия Verilog A и интерфейс за свързване на аналоговите и цифровите части.
As we observed earlier,Verilog-AMS is a derivative of of the purely digital Verilog extended with the purely analog Verilog A and an interface for the connection of the analog and digital parts.
Библиотеките на компонентите на TINA включват повече от MCU на 800, докатоостаналите програмируеми устройства могат да бъдат описани от VHDL и Verilog езиците за описание на хардуера, които са налични в TINA.
TINA's component libraries include more than 800 MCUs,while the other programmable devices can be described by the VHDL and Verilog hardware description languages, both available in TINA.
TINA може да преведе Verilog модели и други цифрови компоненти за synthesizable VHDL код и, като се използва Xilinx на Webpack софтуер, можете да генерирате файла бит поток, описващ изпълнението на проекта и след това да го качите на Xilinx FPGA чипове.
TINA can translate the Verilog models and the other digital components to synthesizable VHDL code and, using the Xilinx's Webpack software, you can generate the bit stream file describing the implementation of the design and then upload it to Xilinx FPGA chips.
Results: 27, Time: 0.0272

How to use "verilog" in a sentence

Digital Integrated Circuit Design Using Verilog and SystemVerilog 196.90 лв.
Spectre verilog Какво представяне на клетката е analog_extracted, кога се получава и къде се използва?
TINA включва всички основни аналогови, цифрови и смесени езици за описание на хардуера: VHDL, Verilog HDL симулация.
Advanced digital design with the Verilog HDL, 2005. - 1014 с. 4. Угрюмов Е. Цифровая схемотехника. Учебное пособие. СПб BHV, 2001. - 528 с.
Ciletti Digital Design with an introduction to the Verilog HDL, Prentice Hall, Wolf W. - Modern VLSI Design, IP based design, Prentice Hall, Stefanov T., E.

Top dictionary queries

Bulgarian - English