Examples of using Verilog in Bulgarian and their translations into English
{-}
-
Colloquial
-
Official
-
Medicine
-
Ecclesiastic
-
Ecclesiastic
-
Computer
Моделът на DAC е определен в Verilog AMS.
SystemVerilog е важно разширяване на установената IEEE 1364 Verilog език.
HDLmaker е инструмент за генериране на Verilog дизайн.
Вероятно най-известните цифрови симулатори са тези, базирани на Verilog и VHDL.
Verilator е най-бързият свободен Verilog HDL симулатор.
Подобрени и ускорени VHDL и Verilog симулация 10x пъти по-бързо, отколкото в v9.
TINA включва и мощен цифров симулиращ Verilog двигател.
Следната верига сравнява същата пълна верига на суматора, използвайки VHDL и Verilog.
Помага на IC дизайнерите/FPGA дизайнерите да разработват Verilog/ VHDL код на Eclipse.
Разбира се, цифрови компоненти,включително VHDL и Verilog компоненти могат да бъдат добавени към схеми.
SimShop е софтуер, който прави използвате командния ред основава Verilog симулации просто.
Той съчетава синтезируем Verilog, плюс някои PSL, SystemVerilog и Synthesis поддръжки в C++ или SystemC прграмен код.
Gtkwave е инструмент за анализи, използван за отстраняване на грешки в Verilog или VHDL симулационни модели.
Можете да намерите повече информация за HDL верига симулация в TINA на цифрова HDL симулация(VHDL и Verilog) на.
В допълнение към Spice компонентите TINA могат също да включват Verilog A и Verilog AMS компоненти.
Лабораторията разполага с апаратни и програмни модули за проектиране исимулация на базата на технологиите FPGA и Verilog.
Предимството на Verilog в сравнение с VHDL, че е по-лесно да се научат и разберат, но има повече функции във VHDL.
Ние просто искаме да покажем, че в първата част, показана по-горе, модулът DA Verilog преобразува серийния сигнал в аналогов сигнал(VOUTA).
Icarus Verilog или iverilog е Verilog компилатор, който генерира различни инженерингови формати, включително симулиране.
Новият за Fedora 12 Dinotrace е инструмент за преглеждане на вълнови форми, който разбира Verilog Value Change Dumps, ASCII и други формати за проследяване.
В TINA можете да видите кода на Verilog AMS на модела на DAC, ако щракнете двукратно върху DAC макроса и натиснете бутона Enter Macro.
Интересното е, че изпитвателният стенд от лявата страна е написан на VHDL, което е пример за смесване на различни HDL, нотук ще се концентрираме върху макроса на Verilog AMS отдясно.
През 1986 г. Verilog- днес популярен език за проектиране на високо ниво, е представен за първи път от Gateway Design Automation като език за описание на хардуер.
Можете да кликнете два пъти върху макросите VHDL или Verilog и да натиснете Enter Macro, за да видите пълните подробности и редактирайте кода, ако желаете.
Както отбелязахме по-рано,Verilog-AMS е производно на чисто цифровия Verilog, разширен с чисто аналоговия Verilog A и интерфейс за свързване на аналоговите и цифровите части.
Библиотеките на компонентите на TINA включват повече от MCU на 800, докатоостаналите програмируеми устройства могат да бъдат описани от VHDL и Verilog езиците за описание на хардуера, които са налични в TINA.
TINA може да преведе Verilog модели и други цифрови компоненти за synthesizable VHDL код и, като се използва Xilinx на Webpack софтуер, можете да генерирате файла бит поток, описващ изпълнението на проекта и след това да го качите на Xilinx FPGA чипове.